Your message dated Mon, 17 Mar 2025 22:38:52 +0000
with message-id <e1tuj6i-000wda...@fasolo.debian.org>
and subject line Bug#1093368: fixed in yosys 0.49-1
has caused the Debian Bug report #1093368,
regarding yosys: FTBFS: LaTeX error
to be marked as done.
This means that you claim that the problem has been dealt with.
If this is not the case it is now your responsibility to reopen the
Bug report if necessary, and/or fix the problem forthwith.
(NB: If you are a system administrator and have no idea what this
message is talking about, this may indicate a serious mail system
misconfiguration somewhere. Please contact ow...@bugs.debian.org
immediately.)
--
1093368: https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=1093368
Debian Bug Tracking System
Contact ow...@bugs.debian.org with problems
--- Begin Message ---
Package: src:yosys
Version: 0.33-6
Severity: serious
Tags: ftbfs trixie sid
Dear maintainer:
During a rebuild of all packages in unstable, your package failed to build:
--------------------------------------------------------------------------------
[...]
debian/rules clean
PREFIX=/usr dh clean --with=python3
dh_auto_clean
make -j2 clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
rm -rf kernel/*.pyh
rm -f kernel/version_2584903a060.o kernel/driver.o kernel/register.o
kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o
kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o
kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o
kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o
libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o
libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o
libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o
libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o
libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o
frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o
frontends/ast/ast_binding.o frontends/blif/blifparse.o
frontends/json/jsonparse.o frontends/liberty/liberty.o
frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_par
ser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o
frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o
frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o
frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o
passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o
passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o
passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o
passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o
passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o
passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o
passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o
passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o
passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o
passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o
passes/cmds/chtype.o passes/cmd
s/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o
passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o
passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o
passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o
passes/equiv/equiv_status.o passes/equiv/equiv_add.o
passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o
passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o
passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o
passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o
passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o
passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o
passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o
passes/memory/memory_dff.o passes/memory/memory_share.o
passes/memory/memory_collect.o passes/memory/memory_unpack.o
passes/memory/memory_bram.o passes/memory/memory_map.o
passes/memory/memory_memx.o
passes/memory/memory_nordff.o passes/memory/memory_narrow.o
passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o
passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o
passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o
passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o
passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o
passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o
passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o
passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o
passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o
passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o
passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o
passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o
passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o
passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o
passes/proc/proc_d
latch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o
passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o
passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o
passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o
passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o
passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o
passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o
passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o
passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o
passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o
passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o
passes/techmap/extract_fa.o passes/techmap/extract_counter.o
passes/techmap/extract_reduce.o passes/techmap/alumacc.o
passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o
passes/t
echmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o
passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o
passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o
passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o
passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o
passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o
passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o
backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o
backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o
backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o
backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o
backends/smv/smv.o backends/spice/spice.o backends/table/table.o
backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o
techlibs/anlogic/synth_anlogic.o tec
hlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o
techlibs/common/synth.o techlibs/common/prep.o
techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o
techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o
techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o
techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o
techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o
techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o
techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o
techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o
techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o
techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o
techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o
techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o
techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc
frontends/rtlil/rtlil_p
arser.tab.hh frontends/rtlil/rtlil_parser.output
frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc
frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output
frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h
passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h
passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h
passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h
passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h
techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys
yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness
share/include/kernel/yosys.h share/include/kernel/hashlib.h
share/include/kernel/log.h share/include/kernel/rtlil.h
share/include/kernel/binding.h share/include/kernel/register.h
share/include/kernel/cellaigs.h share/include/kernel/celltypes.h
share/include/kernel/celledges.h share/include/kernel/consteval.h
share/include/kernel/constids.inc sh
are/include/kernel/sigtools.h share/include/kernel/modtools.h
share/include/kernel/macc.h share/include/kernel/utils.h
share/include/kernel/satgen.h share/include/kernel/qcsat.h
share/include/kernel/ff.h share/include/kernel/ffinit.h
share/include/kernel/fstdata.h share/include/kernel/mem.h
share/include/kernel/yw.h share/include/kernel/json.h
share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h
share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h
share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h
share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h
share/include/frontends/blif/blifparse.h
share/include/backends/rtlil/rtlil_backend.h
share/include/backends/cxxrtl/cxxrtl.h
share/include/backends/cxxrtl/cxxrtl_vcd.h
share/include/backends/cxxrtl/cxxrtl_capi.cc
share/include/backends/cxxrtl/cxxrtl_capi.h
share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/sm
tio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v
share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v
share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v
share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt
share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v
share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v
share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v
share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v
share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v
share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v
share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh
share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v
share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v
share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v
share/ecp5/dsp_ma
p.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v
share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt
share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v
share/fabulous/ff_map.v share/fabulous/ram_regfile.txt
share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v
share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v
share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v
share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt
share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh
share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib
share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v
share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v
share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt
share/greenpak4/cells_blackbox.v share/greenpak4/ce
lls_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v
share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v
share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib
share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v
share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt
share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v
share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v
share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt
share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v
share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v
share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v
share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v
share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v
share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v
share/intel_alm/common/abc9_model.v share/intel_a
lm/common/alm_map.v share/intel_alm/common/alm_sim.v
share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v
share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v
share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v
share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v
share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v
share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v
share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v
share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh
share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh
share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh
share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v
share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v
share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v
share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d
.v share/lattice/lutrams_map.v share/lattice/lutrams.txt
share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt
share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt
share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v
share/lattice/latches_map.v share/lattice/dsp_map_18x18.v
share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh
share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt
share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v
share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v
share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v
share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v
share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v
share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v
share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v
share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v
share/sf2/cells_sim.v share/xilinx/c
ells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v
share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v
share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt
share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt
share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh
share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v
share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v
share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v
share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v
share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v
share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v
share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v
share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v
share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v
share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v
passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/
ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h
passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h
passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h
passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o
techlibs/gatemate/lut_tree_lib.mk .cc
rm -f kernel/version_*.o kernel/version_*.cc
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d
techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
[... snipped ...]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[98 <./PRESENTATION_ExAdv/select.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[99]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[100]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272
[][] \T1/lmss/m/n/6 ([])
(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[101]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285
[][] \T1/lmss/m/n/6 ([])
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/red_or3x1_test.ys)
Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285
[][][][][][][][][][][]
(./PRESENTATION_ExAdv/red_or3x1_test.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[103]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321
[][] \T1/lmss/m/n/6 ([])
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v)
(./PRESENTATION_ExAdv/sym_mul_test.ys)
Overfull \vbox (2.16698pt too high) detected at line 321
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[104 <./PRESENTATION_ExAdv/sym_mul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[105]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366
[][] \T1/lmss/m/n/6 ([])
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion:
found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mymul_map.v
Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8
[][][][][][][][][][][][][][][][][][]
) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys)
(./PRESENTATION_ExAdv/mymul_test.ys)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[106 <./PRESENTATION_ExAdv/mymul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[107]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404
[][] \T1/lmss/m/n/6 ([])
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mulshift_map.v
Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][]
Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21
[][][][][][][][][][][][][][][][][][][][]
) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[108 <./PRESENTATION_ExAdv/mulshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[109]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445
[][] \T1/lmss/m/n/6 ([])
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/addshift_map.v
Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][][][][][]
Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19
[][][][][][][][][]
) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[110 <./PRESENTATION_ExAdv/addshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[111]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[112]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[113]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[114]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/macc_simple_test.v)
(./PRESENTATION_ExAdv/macc_simple_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_00b.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf):
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v)
Underfull \hbox (badness 10000) detected at line 3
[]|
(./PRESENTATION_ExAdv/macc_simple_test_02.v)
Underfull \hbox (badness 10000) detected at line 4
[]|
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf):
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_02a.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf):
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf):
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf):
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[117]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[118]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v
Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21
[][][][][][][][][][][][][][][][][]
) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \vbox (1.21974pt too high) detected at line 610
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[119]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)
Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6
[][][][][][][][][][][][][][][][][]
(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[120]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[121]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[122]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[123]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 4
[]|
(./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 5
[]|
Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11
[][][][][][][][]
Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12
[][][][][][][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2a.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11
[][][][][][][][][][][][][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2b.pdf
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][]
Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][]
Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][]
Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][]
Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][]
Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][]
Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
[][] \T1/lmss/m/n/6 ([])
[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788
[][] \T1/lmss/m/n/6 ([])
Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799
[][] \T1/lmss/m/n/6 ([])
(./presentation.vrb
pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
! Package tikz Error: Giving up on this path. Did you forget a semicolon?.
See the tikz package documentation for explanation.
Type H <return> for immediate help.
...
l.9 \end{lstlisting}}
;
! ==> Fatal error occurred, no output PDF file produced!
Transcript written on presentation.log.
make[1]: *** [debian/rules:57: override_dh_auto_build-indep] Error 1
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
make: *** [debian/rules:13: binary] Error 2
dpkg-buildpackage: error: debian/rules binary subprocess returned exit status 2
--------------------------------------------------------------------------------
The above is just how the build ends and not necessarily the most relevant part.
If required, the full build log is available here:
https://people.debian.org/~sanvila/build-logs/202501/
About the archive rebuild: The build was made on virtual machines from AWS,
using sbuild and a reduced chroot with only build-essential packages.
If you could not reproduce the bug please contact me privately, as I
am willing to provide ssh access to a virtual machine where the bug is
fully reproducible.
If this is really a bug in one of the build-depends, please use
reassign and add an affects on src:yosys, so that this is still
visible in the BTS web page for this package.
Thanks.
--- End Message ---
--- Begin Message ---
Source: yosys
Source-Version: 0.49-1
Done: Daniel Gröber <d...@darkboxed.org>
We believe that the bug you reported is fixed in the latest version of
yosys, which is due to be installed in the Debian FTP archive.
A summary of the changes between this version and the previous one is
attached.
Thank you for reporting the bug, which will now be closed. If you
have further comments please address them to 1093...@bugs.debian.org,
and the maintainer will reopen the bug report if appropriate.
Debian distribution maintenance software
pp.
Daniel Gröber <d...@darkboxed.org> (supplier of updated yosys package)
(This message was generated automatically at their request; if you
believe that there is a problem with it please contact the archive
administrators by mailing ftpmas...@ftp-master.debian.org)
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA256
Format: 1.8
Date: Sun, 16 Mar 2025 16:03:14 +0100
Source: yosys
Architecture: source
Version: 0.49-1
Distribution: unstable
Urgency: medium
Maintainer: Debian Science Maintainers
<debian-science-maintain...@lists.alioth.debian.org>
Changed-By: Daniel Gröber <d...@darkboxed.org>
Closes: 1055408 1068174 1080844 1093368
Changes:
yosys (0.49-1) unstable; urgency=medium
.
[ Scott Ashcroft ]
* New upstream release (Closes: #1068174)
* Fix Build-Depends (Closes: #1055408, #1080844)
* Presentation was removed upstream. (Closes: #1093368)
.
[ Daniel Gröber ]
* Add patch to replace rsync with cp in build
* Add patch to properly invalidate docs generated help on failure
.
[ Scott Ashcroft ]
* Replace additional rsync usage with cp
* Don't install python cache files
Checksums-Sha1:
29d1a163c6f19966bb9fec45f66e3349a4c527f6 3040 yosys_0.49-1.dsc
b75b981dc5e2662624ff350f95e6d5be273f60d9 6312257 yosys_0.49.orig-abc.tar.gz
72d9cfd64019aca1ef590be65a4545032a1219e4 3267553 yosys_0.49.orig.tar.gz
5e04235c0d259c2e76d1f4ac1e150e381d799f06 23756 yosys_0.49-1.debian.tar.xz
c2505951464d35392a63c1cfcb40a99f90219e61 16558 yosys_0.49-1_amd64.buildinfo
Checksums-Sha256:
fe0981a804132ae350446dbc585001d3fd4a15a2171bcdd49084424858127888 3040
yosys_0.49-1.dsc
4d75b8d464cd0b26b05df818271d21be2bb836e9b109b0c904ed7daca56a6540 6312257
yosys_0.49.orig-abc.tar.gz
0e2e4dd05bbcf54210d7dffc14ee0586a5f3a16e9754ca43216a1beab61fcfbd 3267553
yosys_0.49.orig.tar.gz
f35bf1eb1a3c7bebb0f542e85ea6cbb9d59c7ea87d9d491435a2c266bc1de718 23756
yosys_0.49-1.debian.tar.xz
fd53bbe9198b773d3b14dbc5cc4e5a3f007308754c051cfb5c6a312fc2977eec 16558
yosys_0.49-1_amd64.buildinfo
Files:
4aa2573c41b1901926e501e794a1d71c 3040 electronics optional yosys_0.49-1.dsc
f07da29e92be671adf8e960342f2d363 6312257 electronics optional
yosys_0.49.orig-abc.tar.gz
227f98f109b963c2538635562f76254c 3267553 electronics optional
yosys_0.49.orig.tar.gz
18686267a064a96c6a9a9728df8350fd 23756 electronics optional
yosys_0.49-1.debian.tar.xz
f92417cd57013bec8d4250588b97af34 16558 electronics optional
yosys_0.49-1_amd64.buildinfo
-----BEGIN PGP SIGNATURE-----
iQIzBAEBCAAdFiEEV6G/FbT2+ZuJ7bKf05SBrh55rPcFAmfYoDkACgkQ05SBrh55
rPepXxAAhieWwh9ryqKwf7gYVeJ48tRrjxJs7bxpf2/yuEFCSyyAP+5eZH/AMNMk
scg9JU2G3nFCPD6U3V8+Cqn7OKQlgBOGPEacH7o/bT967Zsb0hoRkVqIQPxNDqdJ
ZPrDVmprq/IXSNMwPTCwL25bxTBmAsC948Yq7YZqdcJy2hyMgcOFAUiZw3/8cLgp
e27gZI4qSVKO4mUA1+3SHRPCtGpHxRsR4glKAVaOoxK9mtX9qoc1Fvfe5F7t7j1F
YyA/btjfCe1TPV18USOKTN/xcBm45uWq7EHTsnmdD2Hl7uPf5uZqJySbDO8JLiVL
5AyxRcYZMLSFXg17jDUtsG7xR3CTnUVNv2W6eePRAx8fhSszGNXtkwAUhOnMkrTj
3fMPJ0WxMcJrvtnpesJoHHtCg821swBu7Ut6zad7eZl72hVt0VlJJr/WWIxKuWCE
6NmKU9ZWMnDpE4hchjyD26nQnJR8jZ1e7+vfDgK4kPPmucyxW+TCEYauhGnsiEj0
sYqO2kSUn1uoAVpfHWcrv5Bz0DEaadYYgmP1/RdVXwghj284W8ay/uSOLffQjjD7
I8yHARQJD635j/4GN0/uVwrlrJhCY8w0sa479+iuPI4ca65+Wrisa/4xWp27koMK
B1STWqrBtdl+flczxLdg5Zl9opB4el3OSGHH6SmuCL2WdI3q+38=
=wEoR
-----END PGP SIGNATURE-----
pgpqMtHYoSzaI.pgp
Description: PGP signature
--- End Message ---